ASML made €1.6bn in net income in the second quarter of 2024, the company has revealed in its latest earnings report. While this represented an annual decline of 19% compared to last year, the new figures nonetheless beat analyst expectations that the Dutch lithography firm would earn €1.41bn in net income in the same period. ASML attributed its better-than-expected results to increased sales in China and strong demand for the generative AI products and services reliant on chips created using the company’s advanced lithography techniques.

“In line with previous quarters, overall semiconductor inventory levels continue to improve, and we also see further improvement in litho tool utilisation levels at both Logic and Memory customers,” wrote ASML’s chief executive, Christophe Fouquet. “While there are still uncertainties in the market, primarily driven by the macro environment, we expect industry recovery to continue in the second half of the year.”

Surprising results for ASML considering market headwinds

Headquartered in Veldhoven in the Netherlands, ASML is the world’s main supplier of high-end lithography equipment, machines which use different wavelengths of light to print circuitry onto silicon wafers and the only tools using the most advanced lithography technique yet developed, high numerical aperture extreme ultraviolet (High NA EUV) lithography. 

As such, ASML has benefited from growing market interest in products derived from large language models trained on the advanced chips made using the firm’s advanced lithography techniques. The generative AI boom, predicted Fouquet, would fuel the semiconductor industry’s “recovery and growth” throughout 2024 as it shakes off the previous year’s decline in sales

In the second quarter of this year, however, 49% of the Dutch firm’s sales were made to customers in China – a potential hostage to fortune for the lithography giant as tensions between Beijing and Washington continue to simmer. These sales appear to have been the byproduct of Chinese manufacturers’ efforts to stockpile equipment used to manufacture less sophisticated chips currently unaffected by US sanctions. That revenue stream for ASML may be cut off soon, though, with Bloomberg reporting that the Biden administration is considering new restrictions on the sale of chipmaking equipment carrying US patents.

Demand for High NA EUV equipment uncertain

Appetite for ASML’s High NA EUV equipment among chip manufacturers, meanwhile, continues to be mixed. While Intel has been an enthusiastic partisan of the machines, acquiring the lithography giant’s entire 2024 stock in May, rival TSMC has said it can afford to wait before installing any such equipment on its production line. 

That latter decision does not seem to have influenced ASML’s rosy predictions for the third quarter of 2024. Total net sales for that period, wrote Fouquet, are expected to reach between €6.7bn-€7.3bn – with research and development costs expected to swallow around €1.1bn of that sum.