View all newsletters
Receive our newsletter - data, insights and analysis delivered to you
  1. Technology
August 6, 2021updated 06 Jun 2022 8:28am

ASML might be the most successful tech company you’ve never heard of

The Dutch business is the only supplier of the advanced machines needed to make cutting-edge chips, and its dominance is likely to remain unchallenged.

By Matthew Gooding

A ‘sliding doors’ moment 20 years ago set photolithography specialist ASML on the path to becoming one of Europe’s most successful technology companies and a key player in the global semiconductor supply chain.

As the resolution limits of photolithography technology – the printing process used to make semiconductors – started to come into the view at the turn of the millennium, ASML and Nikon, at the time the two leading companies in the sector, began to think about the future. “Nikon and ASML pursued different paths for what was to come next,” explains Dr Chris Mack, a photolithography expert and adjunct faculty member at the University of Texas. “Nikon pursued a path called electron beam (EB) photolithography, while ASML pursued a path called extreme UV (EUV) photolithography.”

future of ASML

ASML’s Silicon Valley office. The company dominates the market for semiconductor manufacturing equipment. (Photo by Michael Vi/Shutterstock)

It turned out ASML picked wisely. “After 20 years of extremely expensive development, EUV has eventually come to fruition and those tools work and are widely used,” says Dr Mack. “EB never really worked properly and eventually Nikon abandoned it. ASML made a smart investment, spent multiple billions of dollars developing these tools and now it is reaping the rewards.”

And the rewards have been substantial, with EUV now the predominant technology used for building leading-edge semiconductors, with chip makers keen to take advantage of the high-quality product and increased throughput it offers. EUV machines sell for up to $200m apiece. Last month ASML reported that orders for the second quarter of 2021 totalled €8.3bn and that its quarterly net profit had grown 38% year-on-year, to €1.2bn. The news sent its share price soaring to a record high, giving the business a market cap of €240bn. “It’s all driven by basically what we are seeing today which is the digital revolution,” CEO Peter Wennink told investors on the company’s earnings call.

With the pace of digitisation set to increase in the coming years, and few serious competitors, the future of ASML looks bright. The only cloud on the horizon is the geopolitical tension between the West and China, which may limit the company’s ability to sell its machines to customers in the Far East.

What is photolithography?

Photolithography is similar to traditional printing techniques, but the difference, says Dr Mack, is that you are printing “things which are very small, the circuit patterns which make up a chip”. A master pattern is projected onto a silicon wafer and shrunk down several times so it fits onto the wafer, which is then coated in a photographic film. The pattern is then exposed and developed onto the wafer so it can be etched into the silicon, and this process is repeated to build up the patterns which form the connectors and transistors comprising a chip. “The photolithography tool ASML has developed is basically a camera that projects an image onto a film,” says Dr Mack. “It’s just that this is the most precise and expensive camera ever built.”

It is the EUV technology contained in these machines that has been central to AMSL’s recent success. The company was founded in 1984 by Advanced Semiconductor Materials International and Dutch electronics giant Phillips to supply lithography machines to the semiconductor industry.

Content from our partners
Powering AI’s potential: turning promise into reality
Unlocking growth through hybrid cloud: 5 key takeaways
How businesses can safeguard themselves on the cyber frontline

The acquisition of US rival Silicon Valley Group (SVG) in 2000 accelerated its development of EUV, as SVG was one of the few companies, alongside chip industry behemoth Intel, that had an interest in the technology at the time.

“EUV photolithography is fundamentally different – you’re not shining light through a lens, you’re bouncing really high-frequency light waves across mirrors,” says Wayne Lam, who covers the semiconductor market for CCS Insight and previously worked in the photolithography team at Intel. “The inside of the camera is basically like a house of mirrors. Intel solved a lot of technology challenges in the early days and tried to get Nikon involved but it declined. SVG was one of the only companies that came along for the ride, so ASML inherited a massive project.”

After numerous delays and billions of dollars of investment, ASML’s first EUV machines finally shipped in 2017, and the company has seen revenue from EUV’s dramatically increase ever since.

ASML has also pushed the previous generation of technology to its limits, developing a dual wafer-stage system that allowed two previously independent printing stages to happen in parallel. "This eliminated virtually all overhead time, allowing continuous patterning of product wafers with double the productivity," says Malcolm Penn, CEO of semiconductor research company Future Horizons. "This was a masterful body blow to Nikon and Canon, which have never caught up."

Penn adds that ASML "broke into the market via its technology innovation, ruthless execution and constant innovation. In that respect, it is a bit like [leading chip producer] TSMC. It is an outstanding – and rare European – example of doing the job right."

ASML's geopolitical challenges

ASML now enjoys near-total dominance of the photolithography market, and is the only company selling EUV machines. This is in part down to its status as the "Switzerland of toolmakers", says Lam. "It sells to Samsung, to TSMC, and now to Intel as it updates its processes," he says.

Another customer is leading Chinese chipmaker SMIC, though how long this relationship will endure remains to be seen. In March, the two businesses announced they had extended a supply agreement until the end of 2021, with SMIC disclosing it had already spent $1.2bn on older products from ASML.

Crucially, this agreement has so far not included EUV machines, restricting China's ability to produce the most advanced chips. Indeed, in 2019 ASML was blocked by the Dutch government from shipping an EUV machine to SMIC, reportedly after pressure from US officials. Semiconductors have become a key battleground in the trade conflict between the US and China, and in December SMIC was placed on a US government 'blacklist' in December owing to security concerns. This means chip manufacturing equipment suppliers must obtain a licence before selling it products.

Politics is what it is, and this could all get very nasty.
Malcolm Penn, Future Horizons

Sales to Chinese companies accounted for 17% of ASML's revenue in 2020, but growing or maintaining this income stream may prove challenging in the current climate, Penn says. "Politics is what it is, and this could all get very nasty," he adds.

The future of ASML - will challengers emerge?

While other companies are developing advanced photolithography tools, these tend to be for niche applications, says Dr Mack. He expects ASML's dominance to continue for several generations of chips, particularly if it can progress the next iteration of EUV, known as High-NA, a higher resolution system that could allow for the production of even smaller semiconductors. "EUV was about ten years late, but in the end it worked," he says. "High-NA will probably be very late too, but I have no doubt [ASML] will make a success of it."

Penn says the emergence of a challenger to ASML's dominance in the field is "theoretically possible, given deep enough pockets, but extremely unlikely," and adds that it would take at least a decade to narrow the gap to the Dutch company.

This reliance on a single supplier could pose a systemic risk for chip companies attempting to manage their suppliers and keep costs down, says CCS Insight's Lam. The vulnerability of semiconductor supply chains has come into focus during the global chip shortage, which has affected a wide range of sectors and is set to continue into next year. "When you have one company that has a virtual monopoly you have to pay whatever they want and take whatever equipment they offer you, because they are the market," he says. "I think as time goes by you might start to hear grumblings about how the chip companies handled this supplier that has become so critical to them all."

Lam says ASML's current technology should allow for advanced chips to be developed down to a size of two nanometres. "Beyond that it's unknown territory, and the innovations that follow may not be lithography but something else entirely," he says. "It may be different types of transistors, or different materials, or other ways to extend the performance of silicon. But at the moment ASML is in a great position and the future looks bright."

Topics in this article : ,
Websites in our network
Select and enter your corporate email address Tech Monitor's research, insight and analysis examines the frontiers of digital transformation to help tech leaders navigate the future. Our Changelog newsletter delivers our best work to your inbox every week.
  • CIO
  • CTO
  • CISO
  • CSO
  • CFO
  • CDO
  • CEO
  • Architect Founder
  • MD
  • Director
  • Manager
  • Other
Visit our privacy policy for more information about our services, how Progressive Media Investments may use, process and share your personal data, including information on your rights in respect of your personal data and how you can unsubscribe from future marketing communications. Our services are intended for corporate subscribers and you warrant that the email address submitted is your corporate email address.
THANK YOU